ModelSim XE 6.3でSystemVerilog試してみた。

投稿日 : 2008年4月5日 19:09

 ※うまくいってません。内容に期待した人は結果から見ましょう。

 HDL初心者の私が自宅でSystemVerilogが使えたら良いなと思いModelSim XEをインストールしてみました。なかなか無料または格安でSystemVerilogに対応したコンパイラ・シミュレータはありませんが、XilinxのダウンロードよりModelSim XEのStarter Versionと呼ばれる無料の評価版がダウンロードができます。2008/03/24付で6.3が利用可能になっています。

 ダウンロード後、Virtual PC上のVistaにインストールしようとすると公式にはVistaはサポートしてないよとメッセージが出ましたが、とりあえずインストールはできました。

 利用するためは まずライセンス認証用のファイルをメールで送信してもらうことが必要です。インストール後に表示されるWebページでユーザ登録とライセンス登録をします。メールでファイルlicense.datが送られてくるので、Licensing Wizardを起動し そのファイルを指定します。

 ModelSimを起動するとこんな感じ。

ModelSim

 メニューのFile->New->Source->SystemVerilogから新規にSystemVerilogのファイルを作成し、適当にコードを書きます。意味のないものだけど、とりあえずSystemVerilogのprogramとclassを含めてと……。

program test();
  class foo;
    function void sayHello();
      $display("Hello!");
    endfunction
  endclass
  
  initial begin
    foo f;
    f = new;
    f.sayHello();
  end
endprogram

module top;
  test t();
endmodule

 

 ファイルを保存後、コンパイルします。メニューのCompile->Compileから作成したファイルを選択し、Compileボタンをクリック。するとworkフォルダがないので作成しますか? と聞いてくるのでOKをクリックして作成します。下のTranscriptウィンドウにエラーがでなければコンパイル完了です。

  次にシミュレーションを試してみます。メニューのSimulate->Start Simulationから開いたウィンドウのwork->topを選択します。OKをクリックすると……。

# ** Fatal: (vsim-3904) SystemVerilog Program Blocks are not supported in Modelsim PE.

 ええー。SystemVerilogのprogramブロックは上位Editionじゃないとサポートしないってマジですか……。意味ねぇー。以前のバージョンだとSystemVerilog使えてた感じの記事もWebあるのだけど どうなんでしょう?

フィードバック

# re: ModelSim XE 6.3でSystemVerilog試してみた。

2008/06/04 12:45 by br
こんにちは。通りすがりのものです。
FPGA用のmodelsimXEはDLページにも対応言語はverilog&vhdlとありますが、
LSI設計用の評価版ならSystemVerilogも実行できますよ。

http://www.mentor.com/products/fv/digital_verification/modelsim_se/index.cfm

対応言語を含む機能詳細は↑の右側にある"ModelSim 6.3 Product Comparison"
をご覧下さい。

# re: ModelSim XE 6.3でSystemVerilog試してみた。

2008/06/05 23:27 by JZ5
コメントありがとうございます。
LSI設計用ならprogram ブロックも評価版で使えるってことですか?
LSI設計用ってのはどこにあるんでしょう。

# re: ModelSim XE 6.3でSystemVerilog試してみた。

2008/06/07 0:10 by br
こんばんは。
> LSI 設計用ってのはどこにあるんでしょう。
上でコメントしたページから "modelsimSE" がダウンロードできると思います。
バイナリ実行時に Evaluation を選択すれば(おそらく)一定期間使えるかと。
私が試したのは製品版ですが(別段systemVerilogのライセンスを買ったわけ
ではないですが)JZ5さんが上で書かれていたソースは実行できましたよ。

ただmentor含め最近のEDAツールベンダーの売り方として、検証系を別扱いに
しているのでSVAは(SEの製品版でも)使えない点にご注意ください。

# re: ModelSim XE 6.3でSystemVerilog試してみた。

2008/06/07 0:48 by JZ5
なるほど。SEのEvalutionで可能なんですね。ありがとうございます。今度試してみますね。

# re: ModelSim XE 6.3でSystemVerilog試してみた。

2008/07/29 10:40 by Verification Engineerの戯言

こんにちは、

ModelSim XE 6.3では、SystemVerilogのほとんどの機能が使えます。
使えないのは、program、ランダム関連、SVA(Assertion)ぐらいです。

MentorとCadenceが共同で開発したOVMは、programを使っていませんので、
ランダム関連を使わないのであれば、OVMもModelSim XE 6.3cでは動きます。


# re: ModelSim XE 6.3でSystemVerilog試してみた。

2008/07/29 22:19 by JZ5
おおお、コメント&情報ありがとうございます。
VMMは少しかじったことあるのですが、OVMだとprogram使わなくてできるんですか。
参考になります。
コメントの入力
タイトル
名前
Url
コメント